به نام خداوند بخشنده و مهربان!

سلام عرض میکنم خدمت دوستان عزیزم و هموطنان گرامی و دوست داشتنی ام!

خوب از این رو که من هرچی یاد بگیرم سعی میکنم توی سایت قرار بدم تا شما هم استفاده کنید. تصمیم گرفتم این نکته کاربردی و جالب رو براتون قرار بدم!

خوب برای اینکه بتونید کد VHDLی رو که توی برنامه ISE نوشتید به شماتیک تبدیل کنید و ببینید که این کدی که نوشتید چطوری توی FPGA به صورت گیت و... در میاد!

خوب برای اینکار بعد از سنتز کردن در قسمت Design اون پایین سمت چپ قسمت Synthesize - XST رو باز کنید و روی View RTL Schematic دو بار کلیک کنید تا شماتیک براتون باز بشه! توی این صفحه میتونید روی هر بخش دابل کلیک کنید تا به کوچکترین قسمت که همون گیت هاست برسید!

خوب امیدوارم به دیدتون خورده باشه!

سوالی بود در خدمتم.

یا علی مدد....!